Sciweavers

3 search results - page 1 / 1
» CPPC: correctable parity protected cache
Sort
View
ISCA
2011
IEEE
522views Hardware» more  ISCA 2011»
12 years 9 months ago
CPPC: correctable parity protected cache
Due to shrinking feature sizes processors are becoming more vulnerable to soft errors. Write-back caches are particularly vulnerable since they hold dirty data that do not exist i...
Mehrtash Manoochehri, Murali Annavaram, Michel Dub...
SIGMETRICS
2011
ACM
178views Hardware» more  SIGMETRICS 2011»
12 years 9 months ago
Soft error benchmarking of L2 caches with PARMA
The amount of charge stored in an SRAM cell shrinks rapidly with each technology generation thus increasingly exposing caches to soft errors. Benchmarking the FIT rate of caches d...
Jinho Suh, Mehrtash Manoochehri, Murali Annavaram,...
SOSP
2007
ACM
14 years 3 months ago
Improving file system reliability with I/O shepherding
We introduce a new reliability infrastructure for file systems called I/O shepherding. I/O shepherding allows a file system developer to craft nuanced reliability policies to de...
Haryadi S. Gunawi, Vijayan Prabhakaran, Swetha Kri...