Sciweavers

4359 search results - page 35 / 872
» Automated Pipeline Design
Sort
View
MIDDLEWARE
2004
Springer
14 years 1 months ago
Data pipelines: enabling large scale multi-protocol data transfers
Collaborating users need to move terabytes of data among their sites, often involving multiple protocols. This process is very fragile and involves considerable human involvement ...
Tevfik Kosar, George Kola, Miron Livny
GLVLSI
2006
IEEE
95views VLSI» more  GLVLSI 2006»
14 years 2 months ago
Test generation using SAT-based bounded model checking for validation of pipelined processors
Functional verification is one of the major bottlenecks in microprocessor design. Simulation-based techniques are the most widely used form of processor verification. Efficient ...
Heon-Mo Koo, Prabhat Mishra
MEMOCODE
2005
IEEE
14 years 1 months ago
PyPBS design and methodologies
This paper presents results on processor specification from a specialized high-level finite state machine (FSM) language. The language is an extension and enhancement of earlier...
Greg Hoover, Forrest Brewer
CODES
2006
IEEE
14 years 2 months ago
Streamroller: : automatic synthesis of prescribed throughput accelerator pipelines
In this paper, we present a methodology for designing a pipeline of accelerators for an application. The application is modeled using sequential C language with simple stylization...
Manjunath Kudlur, Kevin Fan, Scott A. Mahlke
DAC
2012
ACM
11 years 10 months ago
Equivalence checking for behaviorally synthesized pipelines
Loop pipelining is a critical transformation in behavioral synthesis. It is crucial to producing hardware designs with acceptable latency and throughput. However, it is a complex ...
Kecheng Hao, Sandip Ray, Fei Xie