Sciweavers

2498 search results - page 67 / 500
» Software Fault Tolerance
Sort
View
IAJIT
2010
150views more  IAJIT 2010»
13 years 7 months ago
Realization of a Novel Fault Tolerant Reversible Full Adder Circuit in Nanotechnology
: In parity preserving reversible circuit, the parity of the input vector must match the parity of the output vector. It renders a wide class of circuit faults readily detectable a...
Md. Saiful Islam 0003, Muhammad Mahbubur Rahman, Z...
ACISICIS
2008
IEEE
14 years 3 months ago
Designing Fault Tolerant Web Services Using BPEL
The web services technology provides an approach for developing distributed applications by using simple and well defined interfaces. Due to the flexibility of this architecture, ...
Jim Lau, Lau Cheuk Lung, Joni da Silva Fraga, Giul...
DEXAW
2006
IEEE
143views Database» more  DEXAW 2006»
14 years 3 months ago
CORBA Replication Support for Fault-Tolerance in a Partitionable Distributed System
The Common Request Broker Architecture (CORBA) specification originally did not include any support for fault-tolerance. The Fault-Tolerant CORBA standard was added to address th...
Stefan Beyer, Francesc D. Muñoz-Escoí...
VTS
1999
IEEE
83views Hardware» more  VTS 1999»
14 years 1 months ago
PADded Cache: A New Fault-Tolerance Technique for Cache Memories
This paper presents a new fault-tolerance technique for cache memories. Current fault-tolerance techniques for caches are limited either by the number of faults that can be tolera...
Philip P. Shirvani, Edward J. McCluskey
CORR
2006
Springer
114views Education» more  CORR 2006»
13 years 9 months ago
A Byzantine Fault Tolerant Distributed Commit Protocol
In this paper, we present a Byzantine fault tolerant distributed commit protocol for transactions running over untrusted networks. The traditional two-phase commit protocol is enh...
Wenbing Zhao