Sciweavers

181 search results - page 14 / 37
» A Mechanism for Verifying Data Speculation
Sort
View
MICRO
2000
IEEE
107views Hardware» more  MICRO 2000»
14 years 1 months ago
Register integration: a simple and efficient implementation of squash reuse
Register integration (or simply integration) is a mechanism for incorporating speculative results directly into a sequential execution using data-dependence relationships. In this...
Amir Roth, Gurindar S. Sohi
JCIT
2010
115views more  JCIT 2010»
13 years 4 months ago
Metadata Version Management for DW 2, 0 Environment
As a new paradigm for data warehousing demanded by today's decision support community, DW 2.0 recognized the life cycle of data with it, that make metadata evolution mechanis...
Ding Pan
INFOCOM
2007
IEEE
14 years 4 months ago
Addressing Click Fraud in Content Delivery Systems
—Mechanisms for data access and payment are central to the success of content delivery systems. However, not much attention has been paid to the issues of dishonest intermediarie...
Saugat Majumdar, Dhananjay Kulkarni, Chinya V. Rav...
ISCA
2012
IEEE
243views Hardware» more  ISCA 2012»
12 years 6 days ago
BlockChop: Dynamic squash elimination for hybrid processor architecture
Hybrid processors are HW/SW co-designed processors that leverage blocked-execution, the execution of regions of instructions as atomic blocks, to facilitate aggressive speculative...
Jason Mars, Naveen Kumar
DSN
2008
IEEE
13 years 11 months ago
Experiences with formal specification of fault-tolerant file systems
Fault-tolerant, replicated file systems are a crucial component of today's data centers. Despite their huge complexity, these systems are typically specified only in brief pr...
Roxana Geambasu, Andrew Birrell, John MacCormick