Sciweavers

25 search results - page 3 / 5
» Analog and mixed signal modelling with SystemC-AMS
Sort
View
FDL
2005
IEEE
14 years 1 months ago
Incorporating SystemC in Analog/Mixed-Signal Design Flow
In today’s flows, there is still a gap between system level description and hardware implementation, especially for analog/RF building blocks. SystemC-AMS or co-simulations have...
Patrick Birrer, Walter Hartong
FMCAD
2004
Springer
13 years 11 months ago
Verification of Analog and Mixed-Signal Circuits Using Hybrid System Techniques
In this paper we demonstrate a potential extension of formal verification methodology in order to deal with time-domain properties of analog and mixed-signal circuits whose dynamic...
Thao Dang, Alexandre Donzé, Oded Maler
DELTA
2004
IEEE
13 years 11 months ago
Towards Analog and Mixed-Signal SOC Design with SystemC-AMS
Systems-on-Chip (SoCs) are heterogeneous by nature as they may integrate digital, analog, RF hardware as well as software components or non electrical parts such as sensors or act...
Alain Vachoux, Christoph Grimm, Karsten Einwich
DAC
2005
ACM
13 years 9 months ago
Mixed signal design space exploration through analog platforms
We propose a hierarchical mixed signal design methodology based on the principles of Platform-Based Design (PBD). The methodology is a meet-in-the-middle approach where design com...
Fernando De Bernardinis, Pierluigi Nuzzo, Alberto ...
VTS
1999
IEEE
68views Hardware» more  VTS 1999»
13 years 11 months ago
A Test Point Insertion Algorithm for Mixed-Signal Circuits
This paper presents an algorithm based on testability measurement for test point insertion of mixed-signal circuits. Two transfer function models compatible with analog models are...
Jinyan Zhang, Sam D. Huynh, Mani Soma