Sciweavers

195 search results - page 15 / 39
» Analysis of speculative prefetching
Sort
View
COMSWARE
2006
IEEE
14 years 1 months ago
Design keys to adapt web prefetching algorithms to environment conditions
— This paper focuses on the design process of web prefetching algorithms. The main goal of prefetching techniques in web is to reduce user perceived latency. Since these techniqu...
Josep Domènech, Julio Sahuquillo, Ana Pont,...
ISLPED
2005
ACM
88views Hardware» more  ISLPED 2005»
14 years 1 months ago
PARE: a power-aware hardware data prefetching engine
Aggressive hardware prefetching often significantly increases energy consumption in the memory system. Experiments show that a major fraction of prefetching related energy degrad...
Yao Guo, Mahmoud Ben Naser, Csaba Andras Moritz
RE
2002
Springer
13 years 7 months ago
Automating Speculative Queries through Event-Based Requirements Traceability
Posing speculative questions about a software system is an important yet often unsupported activity. Current impact analysis techniques tend to focus upon the functionality of the...
Jane Cleland-Huang, Carl K. Chang, Gaurav Sethi, K...
ICPP
2009
IEEE
14 years 2 months ago
Code Semantic-Aware Runahead Threads
Memory-intensive threads can hoard shared resources without making progress on a multithreading processor (SMT), thereby hindering the overall system performance. A recent promisi...
Tanausú Ramírez, Alex Pajuelo, Olive...
ARCS
2006
Springer
13 years 11 months ago
Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?
While trace cache, value prediction, and prefetching have been shown to be effective in the single-threaded superscalar, there has been no analysis of these techniques in a Simulta...
Chen-Yong Cher, Il Park, T. N. Vijaykumar