Sciweavers

4359 search results - page 29 / 872
» Automated Pipeline Design
Sort
View
HPCA
1995
IEEE
13 years 11 months ago
The Effects of STEF in Finely Parallel Multithreaded Processors
The throughput of a multiple-pipelined processor suffers due to lack of sufficient instructions to make multiple pipelines busy and due to delays associated with pipeline depende...
Yamin Li, Wanming Chu
FPGA
2004
ACM
121views FPGA» more  FPGA 2004»
14 years 1 months ago
Highly pipelined asynchronous FPGAs
We present the design of a high-performance, highly pipelined asynchronous FPGA. We describe a very fine-grain pipelined logic block and routing interconnect architecture, and sh...
John Teifel, Rajit Manohar
DELTA
2010
IEEE
14 years 1 months ago
Notations for Multiphase Pipelines
— FPGAs, (Field-Programmable Gate Arrays) are often used for embedded image processing applications. Parallelism, and in particular pipelining, is the most suitable architecture ...
Christopher T. Johnston, Donald G. Bailey, Paul J....
GIS
2007
ACM
14 years 9 months ago
TerraStream: from elevation data to watershed hierarchies
We consider the problem of extracting a river network and a watershed hierarchy from a terrain given as a set of irregularly spaced points. We describe TerraStream, a "pipeli...
Andrew Danner, Thomas Mølhave, Ke Yi, Panka...
BMCBI
2008
184views more  BMCBI 2008»
13 years 7 months ago
"TOF2H": A precision toolbox for rapid, high density/high coverage hydrogen-deuterium exchange mass spectrometry via an LC-MALDI
Background: Protein-amide proton hydrogen-deuterium exchange (HDX) is used to investigate protein conformation, conformational changes and surface binding sites for other molecule...
Pornpat Nikamanon, Elroy Pun, Wayne Chou, Marek D....