Sciweavers

137 search results - page 15 / 28
» Branch Misprediction Prediction: Complementary Branch Predic...
Sort
View
HPCA
2002
IEEE
14 years 8 months ago
Power Issues Related to Branch Prediction
This paper explores the role of branch predictor organization in power/energy/performance tradeoffs for processor design. We find that as a general rule, to reduce overall energy ...
Dharmesh Parikh, Kevin Skadron, Yan Zhang, Marco B...
CF
2007
ACM
13 years 9 months ago
Computational and storage power optimizations for the O-GEHL branch predictor
In recent years, highly accurate branch predictors have been proposed primarily for high performance processors. Unfortunately such predictors are extremely energy consuming and i...
Kaveh Aasaraai, Amirali Baniasadi, Ehsan Atoofian
CSIE
2009
IEEE
14 years 2 months ago
A Computation Saving Partial-Sum-Global-Update Scheme for Perceptron Branch Predictor
With the pipeline deepen and issue width widen, the accuracy of branch predictor becomes more and more important to the performance of a microprocessor. State-of-theart researches...
Liqiang He
ISCA
2002
IEEE
95views Hardware» more  ISCA 2002»
14 years 14 days ago
Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor
This paper presents the Alpha EV8 conditional branch predictor. The Alpha EV8 microprocessor project, canceled in June 2001 in a late phase of development, envisioned an aggressiv...
André Seznec, Stephen Felix, Venkata Krishn...
MICRO
2008
IEEE
72views Hardware» more  MICRO 2008»
14 years 1 months ago
Low-power, high-performance analog neural branch prediction
Shrinking transistor sizes and a trend toward low-power processors have caused increased leakage, high per-device variation and a larger number of hard and soft errors. Maintainin...
Renée St. Amant, Daniel A. Jiménez, ...