Sciweavers

10210 search results - page 70 / 2042
» Configuring Database Systems
Sort
View
FPL
2010
Springer
170views Hardware» more  FPL 2010»
13 years 5 months ago
IP Based Configurable SIMD Massively Parallel SoC
Significant advances in the field of configurable computing have enabled parallel processing within a single FieldProgrammable Gate Array (FPGA) chip. This paper presents the imple...
Mouna Baklouti, Mohamed Abid, Philippe Marquet, Je...
PREMI
2005
Springer
14 years 1 months ago
Systematically Evolving Configuration Parameters for Computational Intelligence Methods
The configuration of a computational intelligence (CI) method is responsible for its intelligence (e.g. tolerance, flexibility) as well as its accuracy. In this paper, we investiga...
Jason M. Proctor, Rosina Weber
VAMOS
2010
Springer
13 years 9 months ago
Automating the Configuration of Multi Software Product Lines
The increased use of software product lines (SPLs) results in complex software systems in which products of multiple SPLs communicate and interact with each other. Such a system of...
Marko Rosenmüller, Norbert Siegmund
JSAC
2006
169views more  JSAC 2006»
13 years 7 months ago
A novel dynamic cell configuration scheme in next-generation situation-aware CDMA networks
- In next-generation CDMA networks, due to random user mobility and time-varying multimedia traffic activity, the system design of coverage and capacity is a challenging issue. To ...
Ching Yu Liao, Fei Yu, Victor C. M. Leung, Chung-J...
FCCM
2007
IEEE
108views VLSI» more  FCCM 2007»
14 years 2 months ago
Configurable Transactional Memory
Programming efficiency of heterogeneous concurrent systems is limited by the use of lock-based synchronization mechanisms. Transactional memories can greatly improve the programmi...
Christoforos Kachris, Chidamber Kulkarni