Sciweavers

186 search results - page 24 / 38
» Dynamically Reconfiguring Processor Resources to Reduce Powe...
Sort
View
IEEEPACT
2007
IEEE
14 years 1 months ago
A Flexible Heterogeneous Multi-Core Architecture
Multi-core processors naturally exploit thread-level parallelism (TLP). However, extracting instruction-level parallelism (ILP) from individual applications or threads is still a ...
Miquel Pericàs, Adrián Cristal, Fran...
SUTC
2008
IEEE
14 years 2 months ago
Power-Aware Real-Time Scheduling upon Identical Multiprocessor Platforms
In this paper, we address the power-aware scheduling of sporadic constrained-deadline hard real-time tasks using dynamic voltage scaling upon multiprocessor platforms. We propose ...
Vincent Nélis, Joël Goossens, Raymond ...
CF
2011
ACM
12 years 7 months ago
Hybrid high-performance low-power and ultra-low energy reliable caches
Ubiquitous computing has become a very popular paradigm. The most suitable technological solution for those systems consists of using hybrid processors able to operate at high vol...
Bojan Maric, Jaume Abella, Francisco J. Cazorla, M...
IMC
2005
ACM
14 years 1 months ago
The Power of Slicing in Internet Flow Measurement
Flow measurement evolved into the primary method for measuring the composition of Internet traffic. Large ISPs and small networks use it to track dominant applications, dominant ...
Ramana Rao Kompella, Cristian Estan
HPDC
2008
IEEE
14 years 2 months ago
Harmony: an execution model and runtime for heterogeneous many core systems
The emergence of heterogeneous many core architectures presents a unique opportunity for delivering order of magnitude performance increases to high performance applications by ma...
Gregory F. Diamos, Sudhakar Yalamanchili