Sciweavers

81 search results - page 17 / 17
» Elusive Functions and Lower Bounds for Arithmetic Circuits
Sort
View
ICCAD
2009
IEEE
161views Hardware» more  ICCAD 2009»
13 years 5 months ago
The epsilon-approximation to discrete VT assignment for leakage power minimization
As VLSI technology reaches 45nm technology node, leakage power optimization has become a major design challenge. Threshold voltage (vt) assignment has been extensively studied, du...
Yujia Feng, Shiyan Hu