Sciweavers

311 search results - page 5 / 63
» Issues and Approaches to Coarse-Grain Reconfigurable Archite...
Sort
View
TVLSI
2008
133views more  TVLSI 2008»
13 years 7 months ago
A Medium-Grain Reconfigurable Architecture for DSP: VLSI Design, Benchmark Mapping, and Performance
Reconfigurable hardware has become a well-accepted option for implementing digital signal processing (DSP). Traditional devices such as field-programmable gate arrays offer good fi...
Mitchell J. Myjak, José G. Delgado-Frias
ERSA
2008
145views Hardware» more  ERSA 2008»
13 years 9 months ago
Multicore Devices: A New Generation of Reconfigurable Architectures
For two decades, reconfigurable computing systems have provided an attractive alternative to fixed hardware solutions. Reconfigurable computing systems have demonstrated the low c...
Steven A. Guccione
IPPS
2007
IEEE
14 years 2 months ago
A General Purpose Partially Reconfigurable Processor Simulator (PReProS)
An innovative technique to model and simulate partial and dynamic reconfigurable processors is presented in this paper. The basis for development is a SystemC kernel modified for ...
Alisson Vasconcelos De Brito, Matthias Kühnle...
DATE
2006
IEEE
120views Hardware» more  DATE 2006»
14 years 1 months ago
System-level scheduling on instruction cell based reconfigurable systems
This paper presents a new operation chaining reconfigurable scheduling algorithm (CRS) based on list scheduling that maximizes instruction level parallelism available in distribut...
Ying Yi, Ioannis Nousias, Mark Milward, Sami Khawa...
COMPSAC
2008
IEEE
14 years 2 months ago
OntoArch Approach for Reliability-Aware Software Architecture Development
Reliability-aware software architecture development has recently been gaining growing attention among software architects. This paper tackles the issue by introducing an ontology-...
Jiehan Zhou, Eila Niemelä, Antti Evesti, Anne...