Sciweavers

85 search results - page 4 / 17
» LZW-Based Code Compression for VLIW Embedded Systems
Sort
View
PLDI
1999
ACM
13 years 11 months ago
Enhanced Code Compression for Embedded RISC Processors
This paper explores compiler techniques for reducing the memory needed to load and run program executables. In embedded systems, where economic incentives to reduce both ram and r...
Keith D. Cooper, Nathaniel McIntosh
DAC
2000
ACM
14 years 8 months ago
Code compression for low power embedded system design
erse approaches at all levels of abstraction starting from the physical level up to the system level. Experience shows that a highlevel method may have a larger impact since the de...
Haris Lekatsas, Jörg Henkel, Wayne Wolf
DCC
2000
IEEE
13 years 12 months ago
Arithmetic Coding for Low Power Embedded System Design
We present a novel algorithm that assigns codes to instructions during instruction code compression in order to minimize bus-related bit-toggling and thus reducing power consumpti...
Haris Lekatsas, Wayne Wolf, Jörg Henkel
SAC
2005
ACM
14 years 1 months ago
A code compression advisory tool for embedded processors
We present a tool which is designed to be used as a code compression advisory system for object code to be run on an embedded processor. All the compression schemes support run-ti...
Sreejith K. Menon, Priti Shankar
RTCSA
2005
IEEE
14 years 1 months ago
Run-Time Power Consumption Modeling for Embedded Multimedia Systems
The run-time power consumption model for multimedia application routines in an embedded system is developed in this work. A wide range of benchmarks for these routines such as ima...
Yu Hu, Qing Li, C. C. Jay Kuo