Sciweavers

28 search results - page 4 / 6
» Learning heuristics for basic block instruction scheduling
Sort
View
ASPLOS
2006
ACM
14 years 3 months ago
A spatial path scheduling algorithm for EDGE architectures
Growing on-chip wire delays are motivating architectural features that expose on-chip communication to the compiler. EDGE architectures are one example of communication-exposed mi...
Katherine E. Coons, Xia Chen, Doug Burger, Kathryn...
ISCA
1995
IEEE
120views Hardware» more  ISCA 1995»
14 years 1 months ago
Unconstrained Speculative Execution with Predicated State Buffering
Speculative execution is execution of instructions before it is known whether these instructions should be executed. Compiler-based speculative execution has the potential to achi...
Hideki Ando, Chikako Nakanishi, Tetsuya Hara, Masa...
EMSOFT
2010
Springer
13 years 7 months ago
Optimal WCET-aware code selection for scratchpad memory
We propose the first polynomial-time code selection algorithm for minimising the worst-case execution time of a nonnested loop executed on a fully pipelined processor that uses sc...
Hui Wu, Jingling Xue, Sridevan Parameswaran
MICRO
1994
IEEE
81views Hardware» more  MICRO 1994»
14 years 1 months ago
Register file port requirements of transport triggered architectures
Exploitation of large amounts of instruction level parallelism requires a large amount of connectivity between the shared register file and the function units; this connectivity i...
Jan Hoogerbrugge, Henk Corporaal
DAGSTUHL
2001
13 years 11 months ago
Understanding Algorithms by Means of Visualized Path Testing
Visualization of an algorithm offers only a rough picture of operations. Explanations are crucial for deeper understanding, because they help the viewer to associate the visualiza...
Ari Korhonen, Erkki Sutinen, Jorma Tarhio