Sciweavers

287 search results - page 8 / 58
» On the Complexity of Register Coalescing
Sort
View
ISCA
2003
IEEE
124views Hardware» more  ISCA 2003»
14 years 23 days ago
Banked Multiported Register Files for High-Frequency Superscalar Microprocessors
Multiported register files are a critical component of high-performance superscalar microprocessors. Conventional multiported structures can consume significant power and die ar...
Jessica H. Tseng, Krste Asanovic
HPCA
2004
IEEE
14 years 7 months ago
Stream Register Files with Indexed Access
Many current programmable architectures designed to exploit data parallelism require computation to be structured to operate on sequentially accessed vectors or streams of data. A...
Nuwan Jayasena, Mattan Erez, Jung Ho Ahn, William ...
ASIACRYPT
1999
Springer
13 years 11 months ago
Linear Complexity versus Pseudorandomness: On Beth and Dai's Result
Abstract. Beth and Dai studied in their Eurocrypt paper [1] the relationship between linear complexity (that is, the length of the shortest Linear Feedback Shift Register that gene...
Yongge Wang
LCPC
2005
Springer
14 years 1 months ago
Manipulating MAXLIVE for Spill-Free Register Allocation
Abstract. Many embedded systems use single-chip microcontrollers which have no on-chip RAM. In such a system, the processor registers must hold all live data values. Nanocontroller...
Shashi Deepa Arcot, Henry G. Dietz, Sarojini Priya...
IPL
2007
50views more  IPL 2007»
13 years 7 months ago
Wait-free regular storage from Byzantine components
We present a simple, efficient, and self-contained construction of a wait-free regular register from Byzantine storage components. Our construction utilizes a novel building block...
Ittai Abraham, Gregory Chockler, Idit Keidar, Dahl...