Sciweavers

261 search results - page 12 / 53
» Optimal Hardware Pattern Generation for Functional BIST
Sort
View
DATE
2010
IEEE
161views Hardware» more  DATE 2010»
14 years 19 days ago
BISD: Scan-based Built-In self-diagnosis
Abstract—Built-In Self-Test (BIST) is less often applied to random logic than to embedded memories due to the following reasons: Firstly, for a satisfiable fault coverage it may...
Melanie Elm, Hans-Joachim Wunderlich
ISQED
2003
IEEE
83views Hardware» more  ISQED 2003»
14 years 24 days ago
Compact Dictionaries for Fault Diagnosis in BIST
We present a new technique for generating compact dictionaries for cause-effect diagnosis in BIST. This approach relies on the use of three compact dictionaries: (i) D1, containin...
Chunsheng Liu, Krishnendu Chakrabarty
TCAD
2002
134views more  TCAD 2002»
13 years 7 months ago
DS-LFSR: a BIST TPG for low switching activity
A test pattern generator (TPG) for built-in self-test (BIST), which can reduce switching activity during test application, is proposed. The proposed TPG, called dual-speed LFSR (DS...
Seongmoon Wang, Sandeep K. Gupta
ITC
1996
IEEE
127views Hardware» more  ITC 1996»
13 years 11 months ago
Altering a Pseudo-Random Bit Sequence for Scan-Based BIST
This paper presents a low-overhead scheme for built-in self-test of circuits with scan. Complete (100%) fault coverage is obtained without modifying the function logic and without...
Nur A. Touba, Edward J. McCluskey
ATS
2004
IEEE
87views Hardware» more  ATS 2004»
13 years 11 months ago
Low Power BIST with Smoother and Scan-Chain Reorder
In this paper, we propose a low-power testing methodology for the scan-based BIST. A smoother is included in the test pattern generator (TPG) to reduce average power consumption d...
Nan-Cheng Lai, Sying-Jyan Wang, Yu-Hsuan Fu