Sciweavers

9 search results - page 1 / 2
» Physical design implementation of segmented buses to reduce ...
Sort
View
ASPDAC
2006
ACM
95views Hardware» more  ASPDAC 2006»
14 years 1 months ago
Physical design implementation of segmented buses to reduce communication energy
Abstract— The amount of energy consumed for interconnecting the IP-blocks is increasing significantly due to the suboptimal scaling of long wires. To limit this energy penalty, ...
Jin Guo, Antonis Papanikolaou, Pol Marchal, Franck...
SLIP
2006
ACM
14 years 1 months ago
Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture
— The increasing gap between design productivity and chip complexity and the emerging Systems-On-Chip (SOC) architectural template have led to the wide utilization of reusable ha...
Jin Guo, Antonis Papanikolaou, Pol Marchal, Franck...
GLVLSI
2003
IEEE
171views VLSI» more  GLVLSI 2003»
14 years 1 months ago
Combining wire swapping and spacing for low-power deep-submicron buses
We propose an approach for reducing the energy consumption of address buses that targets both the switching and the crosstalk components of power dissipation. The method is based ...
Enrico Macii, Massimo Poncino, Sabino Salerno
GLOBECOM
2010
IEEE
13 years 6 months ago
Feasibility and Benefits of Passive RFID Wake-Up Radios for Wireless Sensor Networks
Energy efficiency is one of the crucial design criteria for wireless sensor networks. Idle listening constitutes a major part of energy waste, and thus solutions such as duty cycli...
He Ba, Ilker Demirkol, Wendi Rabiner Heinzelman
ISCA
2007
IEEE
110views Hardware» more  ISCA 2007»
14 years 2 months ago
A novel dimensionally-decomposed router for on-chip communication in 3D architectures
Much like multi-storey buildings in densely packed metropolises, three-dimensional (3D) chip structures are envisioned as a viable solution to skyrocketing transistor densities an...
Jongman Kim, Chrysostomos Nicopoulos, Dongkook Par...