Sciweavers

1039 search results - page 44 / 208
» A Dynamic Voltage Scaling Algorithm for Dynamic Workloads
Sort
View
MICRO
2005
IEEE
144views Hardware» more  MICRO 2005»
14 years 2 months ago
A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance
Dynamic voltage and frequency scaling (DVFS) is an effective technique for controlling microprocessor energy and performance. Existing DVFS techniques are primarily based on hardw...
Qiang Wu, Margaret Martonosi, Douglas W. Clark, Vi...
DATE
2006
IEEE
76views Hardware» more  DATE 2006»
14 years 3 months ago
Performance optimization for energy-aware adaptive checkpointing in embedded real-time systems
Using additional store-checkpoinsts (SCPs) and compare-checkpoints (CCPs), we present an adaptive checkpointing for double modular redundancy (DMR) in this paper. The proposed app...
Zhongwen Li, Hong Chen, Shui Yu
RTAS
2006
IEEE
14 years 2 months ago
Reliability-Aware Dynamic Energy Management in Dependable Embedded Real-Time Systems
Recent studies show that, voltage scaling, which is an efficient energy management technique, has a direct and negative effect on system reliability because of the increased rate...
Dakai Zhu
ASPLOS
2004
ACM
14 years 2 months ago
Formal online methods for voltage/frequency control in multiple clock domain microprocessors
Multiple Clock Domain (MCD) processors are a promising future alternative to today’s fully synchronous designs. Dynamic Voltage and Frequency Scaling (DVFS) in an MCD processor ...
Qiang Wu, Philo Juang, Margaret Martonosi, Douglas...
DAC
2010
ACM
13 years 10 months ago
Network on chip design and optimization using specialized influence models
In this study, we propose the use of specialized influence models to capture the dynamic behavior of a Network-onChip (NoC). Our goal is to construct a versatile modeling framewor...
Cristinel Ababei