Sciweavers

99 search results - page 7 / 20
» Accelerating statistical static timing analysis using graphi...
Sort
View
ICCAD
2006
IEEE
113views Hardware» more  ICCAD 2006»
14 years 4 months ago
A new statistical max operation for propagating skewness in statistical timing analysis
Statistical static timing analysis (SSTA) is emerging as a solution for predicting the timing characteristics of digital circuits under process variability. For computing the stat...
Kaviraj Chopra, Bo Zhai, David Blaauw, Dennis Sylv...
SMA
2009
ACM
208views Solid Modeling» more  SMA 2009»
14 years 1 months ago
Accelerating geometric queries using the GPU
We present practical algorithms for accelerating geometric queries on models made of NURBS surfaces using programmable Graphics Processing Units (GPUs). We provide a generalized f...
Adarsh Krishnamurthy, Sara McMains, Kirk Haller
ICCAD
2005
IEEE
176views Hardware» more  ICCAD 2005»
14 years 4 months ago
Statistical gate sizing for timing yield optimization
— Variability in the chip design process has been relatively increasing with technology scaling to smaller dimensions. Using worst case analysis for circuit optimization severely...
Debjit Sinha, Narendra V. Shenoy, Hai Zhou
MM
2005
ACM
215views Multimedia» more  MM 2005»
14 years 29 days ago
OpenVIDIA: parallel GPU computer vision
Graphics and vision are approximate inverses of each other: ordinarily Graphics Processing Units (GPUs) are used to convert “numbers into pictures” (i.e. computer graphics). I...
James Fung, Steve Mann
DAC
2004
ACM
14 years 8 months ago
STAC: statistical timing analysis with correlation
Current technology trends have led to the growing impact of both inter-die and intra-die process variations on circuit performance. While it is imperative to model parameter varia...
Jiayong Le, Xin Li, Lawrence T. Pileggi