Sciweavers

60 search results - page 3 / 12
» An Issue Logic for Superscalar Microprocessors
Sort
View
ISCA
1997
IEEE
114views Hardware» more  ISCA 1997»
13 years 11 months ago
Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequences
Superscalar processors currently have the potential to fetch multiple basic blocks per cycle by employing one of several recently proposed instruction fetch mechanisms. However, t...
Sriram Vajapeyam, Tulika Mitra
ICCD
2005
IEEE
100views Hardware» more  ICCD 2005»
14 years 4 months ago
Power-Efficient Wakeup Tag Broadcast
The dynamic instruction scheduling logic is one of the most critical components of modern superscalar microprocessors, both from the delay and power dissipation standpoints. The d...
Joseph J. Sharkey, Kanad Ghose, Dmitry V. Ponomare...
DFT
2008
IEEE
151views VLSI» more  DFT 2008»
13 years 9 months ago
Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller
This paper presents a concurrent error detection technique for the control logic of a modern microprocessor. Our method is based on execution time prediction for each instruction ...
Michail Maniatakos, Naghmeh Karimi, Yiorgos Makris...
MICRO
1997
IEEE
93views Hardware» more  MICRO 1997»
13 years 11 months ago
A Comparison of Data Prefetching on an Access Decoupled and Superscalar Machine
In this paper we investigate the behavior of data prefetching on an access decoupled machine and a superscalar machine. We assess if there are bene ts to using the decoupling para...
G. P. Jones, Nigel P. Topham
PACS
2004
Springer
115views Hardware» more  PACS 2004»
14 years 22 days ago
Reducing Delay and Power Consumption of the Wakeup Logic Through Instruction Packing and Tag Memoization
Dynamic instruction scheduling logic is one of the most critical components of modern superscalar microprocessors, both from the delay and power dissipation standpoints. The delay ...
Joseph J. Sharkey, Dmitry Ponomarev, Kanad Ghose, ...