Sciweavers

20 search results - page 3 / 4
» Architectural evaluation of 3D stacked RRAM caches
Sort
View
ISCA
2008
IEEE
188views Hardware» more  ISCA 2008»
14 years 2 months ago
MIRA: A Multi-layered On-Chip Interconnect Router Architecture
Recently, Network-on-Chip (NoC) architectures have gained popularity to address the interconnect delay problem for designing CMP / multi-core / SoC systems in deep sub-micron tech...
Dongkook Park, Soumya Eachempati, Reetuparna Das, ...
3DIM
2007
IEEE
14 years 2 months ago
Cached k-d tree search for ICP algorithms
The ICP (Iterative Closest Point) algorithm is the de facto standard for geometric alignment of threedimensional models when an initial relative pose estimate is available. The ba...
Andreas Nüchter, Kai Lingemann, Joachim Hertz...
HPCA
1997
IEEE
14 years 19 days ago
Global Address Space, Non-Uniform Bandwidth: A Memory System Performance Characterization of Parallel Systems
Many parallel systems offer a simple view of memory: all storage cells are addresseduniformly. Despite a uniform view of the memory, the machines differsignificantly in theirmemo...
Thomas Stricker, Thomas R. Gross
ISLPED
2010
ACM
231views Hardware» more  ISLPED 2010»
13 years 8 months ago
3D-nonFAR: three-dimensional non-volatile FPGA architecture using phase change memory
Memories play a key role in FGPAs in the forms of both programming bits and embedded memory blocks. FPGAs using non-volatile memories have been the focus of attention with zero bo...
Yibo Chen, Jishen Zhao, Yuan Xie
MICRO
2009
IEEE
207views Hardware» more  MICRO 2009»
14 years 3 months ago
Extending the effectiveness of 3D-stacked DRAM caches with an adaptive multi-queue policy
3D-integration is a promising technology to help combat the “Memory Wall” in future multi-core processors. Past work has considered using 3D-stacked DRAM as a large last-level...
Gabriel H. Loh