Sciweavers

54 search results - page 9 / 11
» Comparing Adaptive Routing and Dynamic Voltage Scaling for L...
Sort
View
RTAS
2003
IEEE
14 years 22 days ago
Collaborative Operating System and Compiler Power Management for Real-Time Applications
Managing energy consumption has become vitally important to battery operated portable and embedded systems. A dynamic voltage scaling (DVS) technique reduces the processor’s dyn...
Nevine AbouGhazaleh, Daniel Mossé, Bruce R....
ISCA
2002
IEEE
105views Hardware» more  ISCA 2002»
14 years 12 days ago
Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors
Due to shrinking technologies and increasing design sizes, it is becoming more difficult and expensive to distribute a global clock signal with low skew throughout a processor di...
Anoop Iyer, Diana Marculescu
IPPS
2007
IEEE
14 years 1 months ago
Load Miss Prediction - Exploiting Power Performance Trade-offs
— Modern CPUs operate at GHz frequencies, but the latencies of memory accesses are still relatively large, in the order of hundreds of cycles. Deeper cache hierarchies with large...
Konrad Malkowski, Greg M. Link, Padma Raghavan, Ma...
DAC
2008
ACM
14 years 8 months ago
Control theory-based DVS for interactive 3D games
We propose a control theory-based dynamic voltage scaling (DVS) algorithm for interactive 3D game applications running on batterypowered portable devices. Using this scheme, we pe...
Yan Gu, Samarjit Chakraborty
SIGMETRICS
2003
ACM
150views Hardware» more  SIGMETRICS 2003»
14 years 22 days ago
Conductance and congestion in power law graphs
It has been observed that the degrees of the topologies of several communication networks follow heavy tailed statistics. What is the impact of such heavy tailed statistics on the...
Christos Gkantsidis, Milena Mihail, Amin Saberi