Sciweavers

2 search results - page 1 / 1
» DeCoR: A Delayed Commit and Rollback mechanism for handling ...
Sort
View
HPCA
2008
IEEE
14 years 7 months ago
DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors
Increases in peak current draw and reductions in the operating voltages of processors continue to amplify the importance of dealing with voltage fluctuations in processors. Noise-...
Meeta Sharma Gupta, Krishna K. Rangan, Michael D. ...
DATE
2009
IEEE
131views Hardware» more  DATE 2009»
14 years 2 months ago
An event-guided approach to reducing voltage noise in processors
Abstract—Supply voltage fluctuations that result from inductive noise are increasingly troublesome in modern microprocessors. A voltage “emergency”, i.e., a swing beyond tol...
Meeta Sharma Gupta, Vijay Janapa Reddi, Glenn H. H...