Sciweavers

107 search results - page 14 / 22
» Dynamic voltage and frequency scaling circuits with two supp...
Sort
View
ISLPED
2009
ACM
168views Hardware» more  ISLPED 2009»
14 years 1 months ago
Low power circuit design based on heterojunction tunneling transistors (HETTs)
The theoretical lower limit of subthreshold swing in MOSFETs (60 mV/decade) significantly restricts low voltage operation since it results in a low ON to OFF current ratio at low ...
Daeyeon Kim, Yoonmyung Lee, Jin Cai, Isaac Lauer, ...
ICCAD
2008
IEEE
115views Hardware» more  ICCAD 2008»
14 years 3 months ago
Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing
- In this paper, we present a technique to optimize the energy-delay product of a synchronous linear pipeline circuit with dynamic error detection and correction capability running...
Mohammad Ghasemazar, Massoud Pedram
ISCA
2009
IEEE
178views Hardware» more  ISCA 2009»
14 years 1 months ago
Thread motion: fine-grained power management for multi-core systems
Dynamic voltage and frequency scaling (DVFS) is a commonly-used powermanagement scheme that dynamically adjusts power and performance to the time-varying needs of running programs...
Krishna K. Rangan, Gu-Yeon Wei, David Brooks
ISCAS
1999
IEEE
77views Hardware» more  ISCAS 1999»
13 years 11 months ago
A low-voltage translinear second-order quadrature oscillator
This paper describes the design of a low-voltage translinear second-order quadrature oscillator. The circuit is a direct implementation of a nonlinear second-order state-space desc...
Wouter A. Serdijn, J. Mulder, Michiel H. L. Kouwen...
ECRTS
2010
IEEE
13 years 7 months ago
Minimizing Multi-resource Energy for Real-Time Systems with Discrete Operation Modes
Energy conservation is an important issue in the design of embedded systems. Dynamic Voltage Scaling (DVS) and Dynamic Power Management (DPM) are two widely used techniques for sav...
Fanxin Kong, Yiqun Wang, Qingxu Deng, Wang Yi