Sciweavers

88 search results - page 10 / 18
» Fractal Coherence: Scalably Verifiable Cache Coherence
Sort
View
DATE
2010
IEEE
98views Hardware» more  DATE 2010»
14 years 15 days ago
SigNet: Network-on-chip filtering for coarse vector directories
—Scalable cache coherence is imperative as systems move into the many-core era with cores counts numbering in the hundreds. Directory protocols are often favored as more scalable...
Natalie Enright Jerger
DAC
2003
ACM
14 years 8 months ago
Using a formal specification and a model checker to monitor and direct simulation
We describe a technique for verifying that a hardware design correctly implements a protocol-level formal specification. Simulation steps are translated to protocol state transiti...
Serdar Tasiran, Yuan Yu, Brannon Batson
IPPS
2003
IEEE
14 years 20 days ago
Active Memory Techniques for ccNUMA Multiprocessors
Our recent work on uniprocessor and single-node multiprocessor (SMP) active memory systems uses address remapping techniques in conjunction with extended cache coherence protocols...
Daehyun Kim, Mainak Chaudhuri, Mark Heinrich
ACTA
2006
108views more  ACTA 2006»
13 years 7 months ago
Refinement verification of the lazy caching algorithm
The lazy caching algorithm of Afek, Brown, and Merrit (1993) is a protocol that allows the use of local caches with delayed updates. It results in a memory model that is not atomi...
Wim H. Hesselink
SIGOPSE
1996
ACM
13 years 11 months ago
How to scale transactional storage systems
Applications of the future will need to support large numbers of clients and will require scalable storage systems that allow state to be shared reliably. Recent research in distr...
Liuba Shrira, Barbara Liskov, Miguel Castro, Atul ...