Sciweavers

1081 search results - page 48 / 217
» Hierarchical Heterogeneous Specifications
Sort
View
BIRTHDAY
2008
Springer
13 years 10 months ago
A Heterogeneous Approach to UML Semantics
Abstract. UML models consist of several diagrams of different types describing different views of a software system ranging from specifications of the static system structure to de...
María Victoria Cengarle, Alexander Knapp, A...
NETWORK
2008
163views more  NETWORK 2008»
13 years 8 months ago
Admission control for QoS support in heterogeneous 4G wireless networks
Admission control plays a very important role in wireless systems, as it is one of the basic mechanisms for ensuring the quality of service offered to users. Based on the availabl...
Elias Z. Tragos, George Tsiropoulos, George T. Kar...
DSD
2006
IEEE
131views Hardware» more  DSD 2006»
14 years 5 days ago
Designing Efficient Irregular Networks for Heterogeneous Systems-on-Chip
Abstract-- Networks-on-Chip will serve as the central integration platform in future complex SoC designs, composed of a large number of heterogeneous processing resources. Most res...
Christian Neeb, Norbert Wehn
ASPDAC
2010
ACM
168views Hardware» more  ASPDAC 2010»
13 years 6 months ago
Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs
Heterogeneous multiprocessor system-on-chips (MPSoCs) which consist of cores with various power and performance characteristics can customize their configuration to achieve higher ...
Shervin Sharifi, Ayse Kivilcim Coskun, Tajana Simu...
TKDE
2010
224views more  TKDE 2010»
13 years 3 months ago
Non-Negative Matrix Factorization for Semisupervised Heterogeneous Data Coclustering
Coclustering heterogeneous data has attracted extensive attention recently due to its high impact on various important applications, such us text mining, image retrieval, and bioin...
Yanhua Chen, Lijun Wang, Ming Dong