Sciweavers

1004 search results - page 199 / 201
» Low power methodology and design techniques for processor de...
Sort
View
FPGA
2007
ACM
124views FPGA» more  FPGA 2007»
14 years 22 days ago
A practical FPGA-based framework for novel CMP research
Chip-multiprocessors are quickly gaining momentum in all segments of computing. However, the practical success of CMPs strongly depends on addressing the difficulty of multithread...
Sewook Wee, Jared Casper, Njuguna Njoroge, Yuriy T...
ISPASS
2005
IEEE
14 years 5 days ago
BioBench: A Benchmark Suite of Bioinformatics Applications
Recent advances in bioinformatics and the significant increase in computational power available to researchers have made it possible to make better use of the vast amounts of gene...
Kursad Albayraktaroglu, Aamer Jaleel, Xue Wu, Mano...
CASES
2005
ACM
13 years 8 months ago
Optimizing stream programs using linear state space analysis
Digital Signal Processing (DSP) is becoming increasingly widespread in portable devices. Due to harsh constraints on power, latency, and throughput in embedded environments, devel...
Sitij Agrawal, William Thies, Saman P. Amarasinghe
CASES
2010
ACM
13 years 4 months ago
Balancing memory and performance through selective flushing of software code caches
Dynamic binary translators (DBTs) are becoming increasingly important because of their power and flexibility. However, the high memory demands of DBTs present an obstacle for all ...
Apala Guha, Kim M. Hazelwood, Mary Lou Soffa
ICCAD
2009
IEEE
171views Hardware» more  ICCAD 2009»
13 years 4 months ago
A hybrid local-global approach for multi-core thermal management
Multi-core processors have become an integral part of mainstream high performance computer systems. In parallel, exponentially increasing power density and packaging costs have ne...
Ramkumar Jayaseelan, Tulika Mitra