Sciweavers

1444 search results - page 42 / 289
» On Variations of Power Iteration
Sort
View
HPCA
2009
IEEE
14 years 5 months ago
Soft error vulnerability aware process variation mitigation
As transistor process technology approaches the nanometer scale, process variation significantly affects the design and optimization of high performance microprocessors. Prior stu...
Xin Fu, Tao Li, José A. B. Fortes
MICRO
2007
IEEE
144views Hardware» more  MICRO 2007»
14 years 4 months ago
Process Variation Tolerant 3T1D-Based Cache Architectures
Process variations will greatly impact the stability, leakage power consumption, and performance of future microprocessors. These variations are especially detrimental to 6T SRAM ...
Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Bro...
EMMCVPR
2009
Springer
14 years 4 months ago
Image Registration under Varying Illumination: Hyper-Demons Algorithm
Abstract. The goal of this paper is to present a novel recipe for deformable image registration under varying illumination, as a natural extension of the demons algorithm. This gen...
Mehran Ebrahimi, Anne L. Martel
DATE
2009
IEEE
127views Hardware» more  DATE 2009»
14 years 5 months ago
Process variation aware thread mapping for Chip Multiprocessors
Abstract—With the increasing scaling of manufacturing technology, process variation is a phenomenon that has become more prevalent. As a result, in the context of Chip Multiproce...
Shengyan Hong, Sri Hari Krishna Narayanan, Mahmut ...
BMCBI
2006
117views more  BMCBI 2006»
13 years 10 months ago
The Cluster Variation Method for Efficient Linkage Analysis on Extended Pedigrees
Background: Computing exact multipoint LOD scores for extended pedigrees rapidly becomes infeasible as the number of markers and untyped individuals increase. When markers are exc...
Cornelis A. Albers, Martijn A. R. Leisink, Hilbert...