Sciweavers

481 search results - page 54 / 97
» Performance Prediction Tools for Parallel Discrete-Event Sim...
Sort
View
ISSS
2002
IEEE
133views Hardware» more  ISSS 2002»
14 years 19 days ago
Efficient Simulation of Synthesis-Oriented System Level Designs
Modeling for synthesis and modeling for simulation seem to be two competing goals in the context of C++-based modeling frameworks. One of the reasons is while most hardware system...
Rajesh K. Gupta, Sandeep K. Shukla, Nick Savoiu
FGCS
2006
74views more  FGCS 2006»
13 years 7 months ago
A performance model of non-deterministic particle transport on large-scale systems
In this work we present a predictive analytical model that encompasses the performance and scaling characteristics of a nondeterministic particle transport application, MCNP (Mont...
Mark M. Mathis, Darren J. Kerbyson, Adolfy Hoisie
IPPS
2003
IEEE
14 years 1 months ago
The CoGenT Project: Co-Generating Compilers and Simulators for Dynamically Compiled Languages
To understand the performance of modern Java systems one must observe execution in the context of specific architectures. It is also important that we make these observations usi...
J. Eliot B. Moss, Charles C. Weems, Timothy Richar...
HPDC
2010
IEEE
13 years 8 months ago
Highly available component sharing in large-scale multi-tenant cloud systems
A multi-tenant cloud system allows multiple users to share a common physical computing infrastructure in a cost-effective way. Component sharing is highly desired in such a shared...
Juan Du, Xiaohui Gu, Douglas S. Reeves
IPPS
2010
IEEE
13 years 5 months ago
Performance modeling of heterogeneous systems
Predicting how well applications may run on modern systems is becoming increasingly challenging. It is no longer sufficient to look at number of floating point operations and commu...
Jan Christian Meyer, Anne C. Elster