Sciweavers

242 search results - page 6 / 49
» Predictive dynamic thermal management for multicore systems
Sort
View
ISLPED
2009
ACM
211views Hardware» more  ISLPED 2009»
14 years 2 months ago
PPT: joint performance/power/thermal management of DRAM memory for multi-core systems
With the popularity of multi-core architecture, to sustain the memory demands from different cores, the memory system is expected to grow significantly in both speed and capacit...
Chung-Hsiang Lin, Chia-Lin Yang, Ku-Jei King
GLVLSI
2010
IEEE
172views VLSI» more  GLVLSI 2010»
14 years 24 days ago
Online convex optimization-based algorithm for thermal management of MPSoCs
Meeting the temperature constraints and reducing the hot-spots are critical for achieving reliable and efficient operation of complex multi-core systems. The goal of thermal mana...
Francesco Zanini, David Atienza, Giovanni De Miche...
ASPLOS
2008
ACM
13 years 9 months ago
Adapting to intermittent faults in multicore systems
Future multicore processors will be more susceptible to a variety of hardware failures. In particular, intermittent faults, caused in part by manufacturing, thermal, and voltage v...
Philip M. Wells, Koushik Chakraborty, Gurindar S. ...
CODES
2007
IEEE
14 years 2 months ago
Performance modeling for early analysis of multi-core systems
Performance analysis of microprocessors is a critical step in defining the microarchitecture, prior to register-transfer-level (RTL) design. In complex chip multiprocessor systems...
Reinaldo A. Bergamaschi, Indira Nair, Gero Dittman...
DAC
2009
ACM
14 years 8 months ago
Dynamic thermal management via architectural adaptation
Exponentially rising cooling/packaging costs due to high power density call for architectural and software-level thermal management. Dynamic thermal management (DTM) techniques co...
Ramkumar Jayaseelan, Tulika Mitra