Sciweavers

3702 search results - page 38 / 741
» Reducing Misclassification Costs
Sort
View
IWMMDBMS
1996
67views more  IWMMDBMS 1996»
13 years 10 months ago
Reducing Initial Latency in a Multimedia Storage System
A multimedia server delivers presentations (e.g., videos, movies, games), providing high bandwidth and continuous real-time delivery. In this paper we present techniques for reduc...
Edward Y. Chang, Hector Garcia-Molina
EMSOFT
2010
Springer
13 years 6 months ago
Reducing stack with intra-task threshold priorities in real-time systems
In the design of hard real-time systems, the feasibility of the task set is one of the primary concerns. However, in embedded systems with scarce resources, optimizing resource us...
Gang Yao, Giorgio C. Buttazzo
SIAMSC
2010
145views more  SIAMSC 2010»
13 years 3 months ago
An "hp" Certified Reduced Basis Method for Parametrized Elliptic Partial Differential Equations
We present a new "hp" parameter multi-domain certified reduced basis method for rapid and reliable online evaluation of functional outputs associated with parametrized el...
Jens L. Eftang, Anthony T. Patera, Einar M. R&osla...
DAC
2006
ACM
14 years 9 months ago
A family of cells to reduce the soft-error-rate in ternary-CAM
Modern integrated circuits require careful attention to the soft-error rate (SER) resulting from bit upsets, which are normally caused by alpha particle or neutron hits. These eve...
Navid Azizi, Farid N. Najm
DATE
2009
IEEE
131views Hardware» more  DATE 2009»
14 years 3 months ago
An event-guided approach to reducing voltage noise in processors
Abstract—Supply voltage fluctuations that result from inductive noise are increasingly troublesome in modern microprocessors. A voltage “emergency”, i.e., a swing beyond tol...
Meeta Sharma Gupta, Vijay Janapa Reddi, Glenn H. H...