Sciweavers

4190 search results - page 25 / 838
» The Cost of Design
Sort
View
JMM2
2006
140views more  JMM2 2006»
13 years 9 months ago
A Cost-Effective Peer-to-Peer Architecture for Large-Scale On-Demand Media Streaming
This paper presents a cost-effective peer-to-peer (P2P) architecture for large-scale on-demand media streaming, named BitVampire. BitVampire's primary design goal is to aggreg...
Xin Liu, Son T. Vuong
TE
2010
70views more  TE 2010»
13 years 4 months ago
A Cost-Effective Atomic Force Microscope for Undergraduate Control Laboratories
Abstract--This paper presents a simple, cost-effective and robust atomic force microscope (AFM), which has been purposely designed and built for use as a teaching aid in undergradu...
Colin N. Jones, Jorge Gonçalves
JUCS
2008
130views more  JUCS 2008»
13 years 9 months ago
Experimenting the Automated Selection of COTS Components Based on Cost and System Requirements
: In a component-based development process the selection of components is an activity that takes place over multiple lifecycle phases that span from requirement specifications thro...
Vittorio Cortellessa, Ivica Crnkovic, Fabrizio Mar...
ISPD
2009
ACM
141views Hardware» more  ISPD 2009»
14 years 4 months ago
A faster approximation scheme for timing driven minimum cost layer assignment
As VLSI technology moves to the 65nm node and beyond, interconnect delay greatly limits the circuit performance. As a critical component in interconnect synthesis, layer assignmen...
Shiyan Hu, Zhuo Li, Charles J. Alpert
WEA
2007
Springer
131views Algorithms» more  WEA 2007»
14 years 4 months ago
On the Cost of Persistence and Authentication in Skip Lists
We present an extensive experimental study of authenticated data structures for dictionaries and maps implemented with skip lists. We consider realizations of these data structures...
Michael T. Goodrich, Charalampos Papamanthou, Robe...