Sciweavers

131 search results - page 7 / 27
» Theoretical and practical limits of dynamic voltage scaling
Sort
View
ISVLSI
2002
IEEE
93views VLSI» more  ISVLSI 2002»
14 years 16 days ago
Temperature Variable Supply Voltage for Power Reduction
The scaling trend of MOSFETs requires the supply and the threshold voltages to be reduced in future generations. Although the supply voltage is reduced, the total power dissipatio...
Kaveh Shakeri, James D. Meindl
CASES
2005
ACM
13 years 9 months ago
Energy management for commodity short-bit-width microcontrollers
Dynamic frequency scaling and dynamic voltage scaling have been developed to save power and/or energy for general purpose computing platforms and high-end embedded systems. This p...
Rony Ghattas, Alexander G. Dean
DAC
1999
ACM
13 years 12 months ago
Multi-Time Simulation of Voltage-Controlled Oscillators
We present a novel formulation, called the WaMPDE, for solving systems with forced autonomous components. An important feature of the WaMPDE is its ability to capture frequency mo...
Onuttom Narayan, Jaijeet S. Roychowdhury
SIGMETRICS
2010
ACM
162views Hardware» more  SIGMETRICS 2010»
14 years 13 days ago
Coordinated power management of voltage islands in CMPs
Multiple clock domain architectures have recently been proposed to alleviate the power problem in CMPs by having different frequency/voltage values assigned to each domain based o...
Asit K. Mishra, Shekhar Srikantaiah, Mahmut T. Kan...
DAC
2010
ACM
13 years 11 months ago
Circuit modeling for practical many-core architecture design exploration
Current tools for computer architecture design lack standard support for multi- and many-core development. We propose using circuit models to describe the multiple processor archi...
Dean Truong, Bevan M. Baas