Sciweavers

537 search results - page 76 / 108
» Thermal-Aware Task Allocation and Scheduling for Embedded Sy...
Sort
View
IPPS
1998
IEEE
14 years 9 days ago
Partial Rearrangements of Space-Shared FPGAs
Abstract Oliver Diessel1 and Hossam ElGindy2 1Department of Computer Science and Software Engineering 2Department of Electrical and Computer Engineering The University of Newcastle...
Oliver Diessel, Hossam A. ElGindy
CASES
2003
ACM
14 years 1 months ago
A control-theoretic approach to dynamic voltage scheduling
The development of energy-conscious embedded and/or mobile systems exposes a trade-off between energy consumption and system performance. Recent microprocessors have incorporated ...
Ankush Varma, Brinda Ganesh, Mainak Sen, Suchismit...
RTCSA
2009
IEEE
14 years 2 months ago
Managing Imprecise Worst Case Execution Times on DVFS Platforms
Abstract—Although energy-efficient real-time task scheduling has attracted a lot of attention in the past decade, most existing results assumed deterministic execution lengths f...
Vandy Berten, Chi-Ju Chang, Tei-Wei Kuo
ICPPW
2009
IEEE
14 years 2 months ago
Multiprocessor Synchronization and Hierarchical Scheduling
Multi-core architectures have received significant interest as thermal and power consumption problems limit further increase of speed in single-cores. In the multi-core research ...
Farhang Nemati, Moris Behnam, Thomas Nolte
ISLPED
2010
ACM
158views Hardware» more  ISLPED 2010»
13 years 8 months ago
Temperature- and energy-constrained scheduling in multitasking systems: a model checking approach
The ongoing scaling of semiconductor technology is causing severe increase of on-chip power density and temperature in microprocessors. This has raised urgent requirement for both...
Weixun Wang, Xiaoke Qin, Prabhat Mishra