Sciweavers

264 search results - page 37 / 53
» Timing driven power gating
Sort
View
ETFA
2005
IEEE
14 years 2 months ago
Environmental control system based on mobile devices
AbstractThe impact of the use of mobile devices by means of GPRS (General Packet Radio Service) technology to access environmental information in real time, provided by sensors str...
T. Albero, V. Sempere, J. Silvestre, P. Dabbas
RECOMB
2005
Springer
14 years 9 months ago
Improved Pattern-Driven Algorithms for Motif Finding in DNA Sequences
Abstract. In order to guarantee that the optimal motif is found, traditional pattern-driven approaches perform an exhaustive search over all candidate motifs of length l. We develo...
Sing-Hoi Sze, Xiaoyan Zhao
ICCAD
1995
IEEE
134views Hardware» more  ICCAD 1995»
14 years 5 days ago
A delay model for logic synthesis of continuously-sized networks
ng certain electrical noise and power constraints.Abstract: We present a new delay model for use in logic synthesis. A traditional model treats the area of a library cell as consta...
Joel Grodstein, Eric Lehman, Heather Harkness, Bil...
GLVLSI
2003
IEEE
146views VLSI» more  GLVLSI 2003»
14 years 1 months ago
A practical CAD technique for reducing power/ground noise in DSM circuits
One of the fundamental problems in Deep Sub Micron (DSM) circuits is Simultaneous Switching Noise (SSN), which causes voltage fluctuations in the circuit power/ground networks. In...
Arindam Mukherjee, Krishna Reddy Dusety, Rajsaktis...
ISPD
1997
ACM
100views Hardware» more  ISPD 1997»
14 years 24 days ago
A pseudo-hierarchical methodology for high performance microprocessor design
- This paper reports on a highly effective methodology to construct complex high performance microprocessors. Critical aspects of the methodology include an integrated database for...
A. Bertolet, K. Carpenter, Keith M. Carrig, Albert...