Sciweavers

159 search results - page 22 / 32
» User- and process-driven dynamic voltage and frequency scali...
Sort
View
ICCAD
2009
IEEE
171views Hardware» more  ICCAD 2009»
13 years 5 months ago
A hybrid local-global approach for multi-core thermal management
Multi-core processors have become an integral part of mainstream high performance computer systems. In parallel, exponentially increasing power density and packaging costs have ne...
Ramkumar Jayaseelan, Tulika Mitra
RTSS
2003
IEEE
14 years 25 days ago
Power-aware QoS Management in Web Servers
Power management in data centers has become an increasingly important concern. Large server installations are designed to handle peak load, which may be significantly larger than...
Vivek Sharma, Arun Thomas, Tarek F. Abdelzaher, Ke...
TCSV
2008
128views more  TCSV 2008»
13 years 7 months ago
Compression-Aware Energy Optimization for Video Decoding Systems With Passive Power
The objective of dynamic voltage scaling (DVS) is to adapt the frequency and voltage for configurable platforms to obtain energy savings. DVS is especially attractive for video dec...
Emrah Akyol, Mihaela van der Schaar
IJRR
2007
117views more  IJRR 2007»
13 years 7 months ago
Wave Haptics: Building Stiff Controllers from the Natural Motor Dynamics
— Haptics, like the fields of robotics and motion control, relies on high stiffness position control of electric motors. Traditionally DC motors are driven by current amplifier...
Nicola Diolaiti, Günter Niemeyer, Neal A. Tan...
DAC
2006
ACM
14 years 8 months ago
Games are up for DVFS
Graphics-intensive computer games are no longer restricted to highperformance desktops, but are also available on a variety of portable devices ranging from notebooks to PDAs and ...
Yan Gu, Samarjit Chakraborty, Wei Tsang Ooi