Sciweavers

68 search results - page 2 / 14
» Verifying Sequential Consistency on Shared-Memory Multiproce...
Sort
View
SPAA
1998
ACM
13 years 12 months ago
Lamport Clocks: Verifying a Directory Cache-Coherence Protocol
Modern shared-memory multiprocessors use complex memory system implementations that include a variety of non-trivial and interacting optimizations. More time is spent in verifying...
Manoj Plakal, Daniel J. Sorin, Anne Condon, Mark D...
ASPLOS
1991
ACM
13 years 11 months ago
Performance Evaluation of Memory Consistency Models for Shared Memory Multiprocessors
The memory consistency model supported by a multiprocessor architecture determines the amount of buffering and pipelining that may be used to hide or reduce the latency of memory ...
Kourosh Gharachorloo, Anoop Gupta, John L. Henness...
HPCA
2006
IEEE
14 years 8 months ago
Completely verifying memory consistency of test program executions
An important means of validating the design of commercial-grade shared memory multiprocessors is to run a large number of pseudo-random test programs on them. However, when intent...
Chaiyasit Manovit, Sudheendra Hangal
CJ
2010
190views more  CJ 2010»
13 years 5 months ago
A Methodological Construction of an Efficient Sequentially Consistent Distributed Shared Memory
A concurrent object is an object that can be concurrently accessed by several processes. Sequential consistency is a consistency criterion for such objects. Informally, it states ...
Vicent Cholvi, Antonio Fernández, Ernesto J...
ISCA
1995
IEEE
147views Hardware» more  ISCA 1995»
13 years 11 months ago
Dynamic Self-Invalidation: Reducing Coherence Overhead in Shared-Memory Multiprocessors
This paper introduces dynamic self-invalidation (DSI), a new technique for reducing cache coherence overhead in shared-memory multiprocessors. DSI eliminates invalidation messages...
Alvin R. Lebeck, David A. Wood