Sciweavers

195 search results - page 4 / 39
» Analysis of speculative prefetching
Sort
View
ICCD
2002
IEEE
113views Hardware» more  ICCD 2002»
14 years 15 days ago
A Framework for Data Prefetching Using Off-Line Training of Markovian Predictors
An important technique for alleviating the memory bottleneck is data prefetching. Data prefetching solutions ranging from pure software approach by inserting prefetch instructions...
Jinwoo Kim, Krishna V. Palem, Weng-Fai Wong
MIDDLEWARE
2010
Springer
13 years 6 months ago
Automatically Generating Symbolic Prefetches for Distributed Transactional Memories
Abstract. Developing efficient distributed applications while managing complexity can be challenging. Managing network latency is a key challenge for distributed applications. We ...
Alokika Dash, Brian Demsky
MICRO
2002
IEEE
131views Hardware» more  MICRO 2002»
14 years 14 days ago
Pointer cache assisted prefetching
Data prefetching effectively reduces the negative effects of long load latencies on the performance of modern processors. Hardware prefetchers employ hardware structures to predic...
Jamison D. Collins, Suleyman Sair, Brad Calder, De...
JPDC
2006
95views more  JPDC 2006»
13 years 7 months ago
Speculative pre-execution assisted by compiler (SPEAR)
Speculative pre-execution achieves efficient data prefetching by running additional prefetching threads on spare hardware contexts. Various implementations for speculative pre-exe...
Won Woo Ro, Jean-Luc Gaudiot
FAST
2007
13 years 9 months ago
AMP: Adaptive Multi-stream Prefetching in a Shared Cache
Abstract— Prefetching is a widely used technique in modern data storage systems. We study the most widely used class of prefetching algorithms known as sequential prefetching. Th...
Binny S. Gill, Luis Angel D. Bathen