Sciweavers

195 search results - page 8 / 39
» Analysis of speculative prefetching
Sort
View
HPCA
2003
IEEE
14 years 8 months ago
Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors
Thread-level speculation provides architectural support to aggressively run hard-to-analyze code in parallel. As speculative tasks run concurrently, they generate unsafe or specul...
María Jesús Garzarán, Milos P...
POPL
1994
ACM
13 years 11 months ago
Reducing Indirect Function call Overhead in C++ Programs
Modern computer architectures increasingly depend on mechanisms that estimate future control flow decisions to increase performance. Mechanisms such as speculative execution and p...
Brad Calder, Dirk Grunwald
ICPP
2003
IEEE
14 years 25 days ago
A Hardware-based Cache Pollution Filtering Mechanism for Aggressive Prefetches
Aggressive hardware-based and software-based prefetch algorithms for hiding memory access latencies were proposed to bridge the gap of the expanding speed disparity between proces...
Xiaotong Zhuang, Hsien-Hsin S. Lee
USENIX
2007
13 years 10 months ago
DiskSeen: Exploiting Disk Layout and Access History to Enhance I/O Prefetch
Current disk prefetch policies in major operating systems track access patterns at the level of the file abstraction. While this is useful for exploiting application-level access...
Xiaoning Ding, Song Jiang, Feng Chen, Kei Davis, X...
HPCA
2008
IEEE
14 years 8 months ago
Performance-aware speculation control using wrong path usefulness prediction
Fetch gating mechanisms have been proposed to gate the processor pipeline to reduce the wasted energy consumption due to wrongpath (i.e. mis-speculated) instructions. These scheme...
Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Pa...