Sciweavers

658 search results - page 24 / 132
» Challenges in Physical Chip Design
Sort
View
ASPDAC
2005
ACM
79views Hardware» more  ASPDAC 2005»
13 years 10 months ago
Floorplan management: incremental placement for gate sizing and buffer insertion
Incremental physical design is an important methodology towards achieving design closure for high-performance large-scale circuits. Placement tools must accommodate incremental ch...
Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden
DAC
1999
ACM
14 years 9 months ago
Converting a 64b PowerPC Processor from CMOS Bulk to SOI Technology
A 550MHz 64b PowerPC processor was developed for fabrication in Silicon-On-Insulator (SOI) technology from a processor previously designed and fabricated in bulk CMOS [1]. Both th...
D. Allen, D. Behrends, B. Stanisic
ITC
2003
IEEE
161views Hardware» more  ITC 2003»
14 years 1 months ago
DFFT : Design For Functional Testability
Creating functional tests that work on an ATE has always been a significant challenge [1]. This paper identifies the fundamental mechanisms for functional test failures of an SOC ...
Haluk Konuk, Leon Xiao
ISVLSI
2003
IEEE
115views VLSI» more  ISVLSI 2003»
14 years 1 months ago
Getting High-Performance Silicon from System-Level Design
System-level design techniques promise a way to lessen the productivity gap between fabrication and design. Unfortunately, these techniques have been slow to catch on, in part bec...
W. Rhett Davis
ISCAS
2003
IEEE
107views Hardware» more  ISCAS 2003»
14 years 1 months ago
On chip Gaussian processing for high resolution CMOS image sensors
Spatial image processing chips, known as silicon retinas, are based on the architecture of vertebrate retina and can be mathematically represented as the Laplacian of Gaussian (LO...
Sri Vinayagamoorthy, Richard Hornsey