Sciweavers

231 search results - page 17 / 47
» Design issues for dynamic voltage scaling
Sort
View
DAC
2005
ACM
14 years 8 months ago
Optimal procrastinating voltage scheduling for hard real-time systems
This paper presents an optimal procrastinating voltage scheduling (OP-DVS) for hard real-time systems using stochastic workload information. Algorithms are presented for both sing...
Yan Zhang, Zhijian Lu, John Lach, Kevin Skadron, M...
DATE
2006
IEEE
76views Hardware» more  DATE 2006»
14 years 1 months ago
Performance optimization for energy-aware adaptive checkpointing in embedded real-time systems
Using additional store-checkpoinsts (SCPs) and compare-checkpoints (CCPs), we present an adaptive checkpointing for double modular redundancy (DMR) in this paper. The proposed app...
Zhongwen Li, Hong Chen, Shui Yu
MICRO
2003
IEEE
166views Hardware» more  MICRO 2003»
14 years 19 days ago
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation
With increasing clock frequencies and silicon integration, power aware computing has become a critical concern in the design of embedded processors and systems-on-chip. One of the...
Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pa...
SAC
2009
ACM
14 years 2 months ago
On scheduling soft real-time tasks with lock-free synchronization for embedded devices
In this paper, we consider minimizing the system-level energy consumption through dynamic voltage scaling for embedded devices, while a) allowing concurrent access to shared objec...
Shouwen Lai, Binoy Ravindran, Hyeonjoong Cho
ISLPED
2004
ACM
124views Hardware» more  ISLPED 2004»
14 years 24 days ago
Application adaptive energy efficient clustered architectures
As clock frequency and die area increase, achieving energy efficiency, while distributing a low skew, global clock signal becomes increasingly difficult. Challenges imposed by dee...
Diana Marculescu