Sciweavers

76 search results - page 8 / 16
» Dynamic thermal management in 3D multicore architectures
Sort
View
DATE
2010
IEEE
165views Hardware» more  DATE 2010»
14 years 13 days ago
Multicore soft error rate stabilization using adaptive dual modular redundancy
— The use of dynamic voltage and frequency scaling (DVFS) in contemporary multicores provides significant protection from unpredictable thermal events. A side effect of DVFS can ...
Ramakrishna Vadlamani, Jia Zhao, Wayne P. Burleson...
IPPS
2010
IEEE
13 years 5 months ago
Robust control-theoretic thermal balancing for server clusters
Thermal management is critical for clusters because of the increasing power consumption of modern processors, compact server architectures and growing server density in data center...
Yong Fu, Chenyang Lu, Hongan Wang
ISPASS
2005
IEEE
14 years 28 days ago
Studying Thermal Management for Graphics-Processor Architectures
We have previously presented Qsilver, a flexible simulation system for graphics architectures. In this paper we describe our extensions to this system, which we use— instrument...
Jeremy W. Sheaffer, Kevin Skadron, David P. Luebke
MICRO
2008
IEEE
114views Hardware» more  MICRO 2008»
14 years 1 months ago
Toward a multicore architecture for real-time ray-tracing
Significant improvement to visual quality for real-time 3D graphics requires modeling of complex illumination effects like soft-shadows, reflections, and diffuse lighting intera...
Venkatraman Govindaraju, Peter Djeu, Karthikeyan S...
DATE
2009
IEEE
101views Hardware» more  DATE 2009»
14 years 2 months ago
A monitor interconnect and support subsystem for multicore processors
Abstract— In many current SoCs, the architectural interface to onchip monitors is ad hoc and inefficient. In this paper, a new architectural approach which advocates the use of a...
Sailaja Madduri, Ramakrishna Vadlamani, Wayne Burl...