Sciweavers

498 search results - page 5 / 100
» Model Validation using Automatically Generated Requirements-...
Sort
View
SE
2007
13 years 9 months ago
Requirements traceability in the model-based testing process
: Automated test case and test driver generation from a precise behaviour UML model is an emerging approach for software functional validation. This innovative approach for validat...
Eddy Bernard, Bruno Legeard
SIBGRAPI
2000
IEEE
13 years 12 months ago
An Off-Line Signature Verification System using Hidden Markov Model and Cross-Validation
This work has as main objective to present an off-line signature verification system. It is basically divided into three parts. The first one demonstrates a pre-processing process,...
Edson J. R. Justino, Abdenaim El Yacoubi, Fl&aacut...
TVLSI
2008
152views more  TVLSI 2008»
13 years 7 months ago
MMV: A Metamodeling Based Microprocessor Validation Environment
With increasing levels of integration of multiple processing cores and new features to support software functionality, recent generations of microprocessors face difficult validati...
Deepak Mathaikutty, Sreekumar V. Kodakara, Ajit Di...
DATE
2005
IEEE
115views Hardware» more  DATE 2005»
14 years 1 months ago
Functional Coverage Driven Test Generation for Validation of Pipelined Processors
Functional verification of microprocessors is one of the most complex and expensive tasks in the current system-on-chip design process. A significant bottleneck in the validatio...
Prabhat Mishra, Nikil D. Dutt
ATS
2003
IEEE
98views Hardware» more  ATS 2003»
14 years 23 days ago
Automatic Design Validation Framework for HDL Descriptions via RTL ATPG
We present a framework for high-level design validation using an efficient register-transfer level (RTL) automatic test pattern generator (ATPG). The RTL ATPG generates the test ...
Liang Zhang, Michael S. Hsiao, Indradeep Ghosh