Sciweavers

242 search results - page 8 / 49
» Predictive dynamic thermal management for multicore systems
Sort
View
COMSWARE
2007
IEEE
14 years 2 months ago
Software Architecture for Dynamic Thermal Management in Datacenters
Abstract— Minimizing the energy cost and improving thermal performance of power-limited datacenters, deploying large computing clusters, are the key issues towards optimizing the...
Tridib Mukherjee, Qinghui Tang, Corbett Ziesman, S...
DAC
2008
ACM
14 years 8 months ago
Temperature management in multiprocessor SoCs using online learning
In deep submicron circuits, thermal hot spots and high temperature gradients increase the cooling costs, and degrade reliability and performance. In this paper, we propose a low-co...
Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny...
HPCA
2006
IEEE
14 years 8 months ago
Understanding the performance-temperature interactions in disk I/O of server workloads
This paper describes the first infrastructure for integrated studies of the performance and thermal behavior of storage systems. Using microbenchmarks running on this infrastructu...
Youngjae Kim, Sudhanva Gurumurthi, Anand Sivasubra...
IPPS
2007
IEEE
14 years 2 months ago
Power, Performance, and Thermal Management for High-Performance Systems
In future high-performance systems it will be essential to balance often-conflicting objectives of performance, power, energy, and temperature under variable workload and environ...
Heather Hanson, Stephen W. Keckler, Karthick Rajam...
GLVLSI
2006
IEEE
112views VLSI» more  GLVLSI 2006»
14 years 1 months ago
A simulation methodology for reliability analysis in multi-core SoCs
Reliability has become a significant challenge for system design in new process technologies. Higher integration levels dramatically increase power densities, which leads to high...
Ayse Kivilcim Coskun, Tajana Simunic Rosing, Yusuf...