Sciweavers

3702 search results - page 49 / 741
» Reducing Misclassification Costs
Sort
View
VLSID
2009
IEEE
108views VLSI» more  VLSID 2009»
14 years 9 months ago
Metric Based Multi-Timescale Control for Reducing Power in Embedded Systems
Abstract--Digital control for embedded systems often requires low-power, hard real-time computation to satisfy high control-loop bandwidth, low latency, and low-power requirements....
Forrest Brewer, João Pedro Hespanha, Nitin ...
ESSMAC
2003
Springer
14 years 2 months ago
Analysis of Some Methods for Reduced Rank Gaussian Process Regression
Abstract. While there is strong motivation for using Gaussian Processes (GPs) due to their excellent performance in regression and classification problems, their computational com...
Joaquin Quiñonero Candela, Carl Edward Rasm...
CDC
2009
IEEE
148views Control Systems» more  CDC 2009»
14 years 1 months ago
Comparison of reduced order lithium-ion battery models for control applications
— Lithium-ion batteries are the core of new plug-in hybrid-electrical vehicles (PHEV) as well as considered in many 2nd generation hybrid electric vehicles (HEV). In most cases t...
Carmelo Speltino, Domenico Di Domenico, Giovanni F...
ASPDAC
2010
ACM
120views Hardware» more  ASPDAC 2010»
13 years 6 months ago
Wideband reduced modeling of interconnect circuits by adaptive complex-valued sampling method
In this paper, we propose a new wideband model order reduction method for interconnect circuits by using a novel adaptive sampling and error estimation scheme. We try to address t...
Hai Wang, Sheldon X.-D. Tan, Gengsheng Chen
ICCAD
2009
IEEE
89views Hardware» more  ICCAD 2009»
13 years 6 months ago
Decoupling capacitance efficient placement for reducing transient power supply noise
Decoupling capacitance (decap) is an efficient way to reduce transient noise in on-chip power supply networks. However, excessive decap may cause more leakage power, chip resource...
Xiaoyi Wang, Yici Cai, Qiang Zhou, Sheldon X.-D. T...