Sciweavers

389 search results - page 5 / 78
» Route Planning by Analogy
Sort
View
VLSID
2002
IEEE
75views VLSI» more  VLSID 2002»
14 years 8 months ago
Explicit Expression and Simultaneous Optimization of Placement and Routing for Analog IC Layouts
Our target is automation of analog circuit's layout, which is a bottleneck in mixed-signal's design. We formulate the layout explicitly considering manufacturing process...
Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, M...
VLSID
2002
IEEE
92views VLSI» more  VLSID 2002»
14 years 8 months ago
Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing
Interconnect with an insufficient width may be subject to electromigration and eventually cause the failure of the circuit at any time during its lifetime. This problem has gotten...
Jens Lienig, Goeran Jerke, Thorsten Adler
ICCAD
2010
IEEE
162views Hardware» more  ICCAD 2010»
13 years 5 months ago
Practical placement and routing techniques for analog circuit designs
1In this paper, we will present an effective layout method for analog circuits. We consider symmetry constraint, common centroid constraint, device merging and device clustering du...
Linfu Xiao, Evangeline F. Y. Young, Xiaoyong He, K...
ICCAD
1993
IEEE
120views Hardware» more  ICCAD 1993»
13 years 11 months ago
Latchup-aware placement and parasitic-bounded routing of custom analog cells
Bulent Basaran, Rob A. Rutenbar, L. Richard Carley
FLAIRS
2004
13 years 9 months ago
Mixed-Initiative Case Replay
Mixed-initiative case replay introduces an active human into the case-based planning process. The goals of this novel technique are to utilize the strengths of machine-based case ...
Michael T. Cox