Sciweavers

15 search results - page 2 / 3
» Test Compaction for Synchronous Sequential Circuits by Test ...
Sort
View
DATE
1997
IEEE
76views Hardware» more  DATE 1997»
14 years 24 days ago
New static compaction techniques of test sequences for sequential circuits
Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo,...
VTS
1997
IEEE
96views Hardware» more  VTS 1997»
14 years 23 days ago
Fast Algorithms for Static Compaction of Sequential Circuit Test Vectors
Two fast algorithms for static test sequence compaction are proposed for sequential circuits. The algorithms are based on the observation that test sequences traverse through a sm...
Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. P...
EURODAC
1995
IEEE
164views VHDL» more  EURODAC 1995»
14 years 3 days ago
Bottleneck removal algorithm for dynamic compaction and test cycles reduction
: We present a new, dynamic algorithm for test sequence compaction and test cycle reduction for combinationaland sequential circuits. Several dynamic algorithms for compaction in c...
Srimat T. Chakradhar, Anand Raghunathan
DATE
1999
IEEE
120views Hardware» more  DATE 1999»
14 years 27 days ago
FreezeFrame: Compact Test Generation Using a Frozen Clock Strategy
Test application time is an important factor in the overall cost of VLSI chip testing. We present a new ATPG approach for generating compact test sequences for sequential circuits...
Yanti Santoso, Matthew C. Merten, Elizabeth M. Rud...
ICCAD
1997
IEEE
147views Hardware» more  ICCAD 1997»
14 years 23 days ago
Built-in test generation for synchronous sequential circuits
We consider the problem of built-in test generation for synchronous sequential circuits. The proposed scheme leaves the circuit flip-flops unmodified, and thus allows at-speed ...
Irith Pomeranz, Sudhakar M. Reddy