Sciweavers

91 search results - page 7 / 19
» An integer linear programming based approach for parallelizi...
Sort
View
DAC
2008
ACM
13 years 8 months ago
Application mapping for chip multiprocessors
The problem attacked in this paper is one of automatically mapping an application onto a Network-on-Chip (NoC) based chip multiprocessor (CMP) architecture in a locality-aware fas...
Guangyu Chen, Feihui Li, Seung Woo Son, Mahmut T. ...
GECCO
2007
Springer
300views Optimization» more  GECCO 2007»
14 years 1 months ago
A NSGA-II, web-enabled, parallel optimization framework for NLP and MINLP
Engineering design increasingly uses computer simulation models coupled with optimization algorithms to find the best design that meets the customer constraints within a time con...
David J. Powell, Joel K. Hollingsworth
IEEEPACT
2009
IEEE
14 years 1 months ago
SOS: A Software-Oriented Distributed Shared Cache Management Approach for Chip Multiprocessors
Abstract—This paper proposes a new software-oriented approach for managing the distributed shared L2 caches of a chip multiprocessor (CMP) for latency-oriented multithreaded appl...
Lei Jin, Sangyeun Cho
CP
2005
Springer
14 years 17 days ago
Allocation and Scheduling for MPSoCs via Decomposition and No-Good Generation
This paper describes an efficient, complete approach for solving a complex allocation and scheduling problem for Multi-Processor System-on-Chip (MPSoC). Given a throughput constra...
Luca Benini, Davide Bertozzi, Alessio Guerri, Mich...
ICCD
2008
IEEE
175views Hardware» more  ICCD 2008»
14 years 4 months ago
Contention-aware application mapping for Network-on-Chip communication architectures
- In this paper, we analyze the impact of network contention on the application mapping for tile-based Networkon-Chip (NoC) architectures. Our main theoretical contribution consist...
Chen-Ling Chou, Radu Marculescu