Sciweavers

192 search results - page 5 / 39
» Functional Scan Chain Testing
Sort
View
ISQED
2010
IEEE
121views Hardware» more  ISQED 2010»
14 years 2 months ago
A novel two-dimensional scan-control scheme for test-cost reduction
— This paper proposes a two-dimensional scan shift control concept for multiple scan chain design. Multiple scan chain test scheme provides very low scan power by skipping many l...
Chia-Yi Lin, Hung-Ming Chen
DATE
2008
IEEE
139views Hardware» more  DATE 2008»
14 years 3 months ago
Scan Chain Organization for Embedded Diagnosis
Keeping diagnostic resolution as high as possible while maximizing the compaction ratio is subject to research since the advent of embedded test. In this paper, we present a novel...
Melanie Elm, Hans-Joachim Wunderlich
ATS
2009
IEEE
135views Hardware» more  ATS 2009»
14 years 3 months ago
On Scan Chain Diagnosis for Intermittent Faults
Diagnosis is increasingly important, not only for individual analysis of failing ICs, but also for high-volume test response analysis which enables yield and test improvement. Sca...
Dan Adolfsson, Joanna Siew, Erik Jan Marinissen, E...
ATS
2009
IEEE
132views Hardware» more  ATS 2009»
14 years 3 months ago
On Improving Diagnostic Test Generation for Scan Chain Failures
In this paper, we present test generation procedures to improve scan chain failure diagnosis. The proposed test generation procedures improve diagnostic resolution by using multi-...
Xun Tang, Ruifeng Guo, Wu-Tung Cheng, Sudhakar M. ...
DELTA
2008
IEEE
14 years 3 months ago
Adaptive Diagnostic Pattern Generation for Scan Chains
Scan is a widely used design-for-testability technique to improve test and diagnosis quality, however, scan chain failures account for almost 50% of chip failures. In this paper, ...
Fei Wang, Yu Hu, Xiaowei Li