Sciweavers

694 search results - page 32 / 139
» Gate Sizing Using a Statistical Delay Model
Sort
View
DATE
2008
IEEE
125views Hardware» more  DATE 2008»
14 years 3 months ago
Current source based standard cell model for accurate signal integrity and timing analysis
— The inductance and coupling effects in interconnects and non-linear receiver loads has resulted in complex input signals and output loads for gates in the modern deep submicron...
Amit Goel, Sarma B. K. Vrudhula
ASPDAC
2007
ACM
121views Hardware» more  ASPDAC 2007»
14 years 29 days ago
Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks
Power supply noise increases the circuit delay, which may lead to performance failure of a design. Decoupling capacitance (decap) addition is effective in reducing the power suppl...
Sanjay Pant, David Blaauw
ICCAD
2004
IEEE
110views Hardware» more  ICCAD 2004»
14 years 5 months ago
Wire-length prediction using statistical techniques
We address the classic wire-length estimation problem and propose a new statistical wire-length estimation approach that captures the probability distribution function of net leng...
Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwa...
DATE
2010
IEEE
171views Hardware» more  DATE 2010»
14 years 2 months ago
Statistical static timing analysis using Markov chain Monte Carlo
—We present a new technique for statistical static timing analysis (SSTA) based on Markov chain Monte Carlo (MCMC), that allows fast and accurate estimation of the right-hand tai...
Yashodhan Kanoria, Subhasish Mitra, Andrea Montana...
ASPDAC
2008
ACM
200views Hardware» more  ASPDAC 2008»
13 years 11 months ago
Non-Gaussian statistical timing analysis using second-order polynomial fitting
In the nanometer manufacturing region, process variation causes significant uncertainty for circuit performance verification. Statistical static timing analysis (SSTA) is thus dev...
Lerong Cheng, Jinjun Xiong, Lei He